2015-12-01から1ヶ月間の記事一覧

LEDチカチカ

BeMicro Max10用のVHDLコード。 -- LED display demo on BeMicro MAX10 -- Shifting LED pattern every second. library ieee; use ieee.std_logic_1164.all; entity blink_top is port ( reset : in std_logic; clock : in std_logic; -- assign 50Mhz cloc…

BeMicro MAXのハードウェア

BeMicro Max10は、クロックとして50MHzをN14ピンに入力している。サンプルverilogプロジェクトのピンアサイメントは以下の通り LED[7] Output PIN_AA5 LED[6] Output PIN_AB4 LED[5] Output PIN_T6 LED[4] Output PIN_V4 LED[3] Output PIN_T1 LED[2] Output…

ubuntuとquartus

Ubuntu 14.04 LTS 64bit 日本語版 Quartus Prime 15.1 起動まで確認済み。32bit版では動かない。 追記: /opt配下にインストールすると、どうしてもoption等を保存してくれない。結局~/配下にインストールした。 15.1にupdate1を適用した。 USB Blasterを安…