2015-01-01から1年間の記事一覧

LEDチカチカ

BeMicro Max10用のVHDLコード。 -- LED display demo on BeMicro MAX10 -- Shifting LED pattern every second. library ieee; use ieee.std_logic_1164.all; entity blink_top is port ( reset : in std_logic; clock : in std_logic; -- assign 50Mhz cloc…

BeMicro MAXのハードウェア

BeMicro Max10は、クロックとして50MHzをN14ピンに入力している。サンプルverilogプロジェクトのピンアサイメントは以下の通り LED[7] Output PIN_AA5 LED[6] Output PIN_AB4 LED[5] Output PIN_T6 LED[4] Output PIN_V4 LED[3] Output PIN_T1 LED[2] Output…

ubuntuとquartus

Ubuntu 14.04 LTS 64bit 日本語版 Quartus Prime 15.1 起動まで確認済み。32bit版では動かない。 追記: /opt配下にインストールすると、どうしてもoption等を保存してくれない。結局~/配下にインストールした。 15.1にupdate1を適用した。 USB Blasterを安…

qemuでu-boot

ARM

ubuntu 14.04 LTS。 apt-get install でqemuをインストールする。 sudo apt-get install gcc-arm-none-eqbi sudo apt-get install qemu u-bootは2010.09をダウンロードする。これよりあとのバージョンだとqemuでは起動しない。ダウンロードしたらmakeをかけ…

range()とfor文

range(a,b)で、aからb-1の範囲オブジェクトを作る。 range(1,5) だと、値の範囲は1から4である(5は範囲に含まない)。0から始まる範囲の場合、最初の0は省いて良い。 range(0) for文を回すときにはrange()を使う。 for i in range(5): print(i) 実行すると0…

リストと辞書

リスト オブジェクトをコンマで区切って[]で囲むとリストになる a = [ 1,2,3,4,5 ] print[1] オブジェクトは数値でも文字列でもなんでもいい。リストでもいい。 リストは添え字を持つ。順番に0,1,2...となる。上の例だと、0番目のオブジェクトにアクセスする…

文字列リテラル

いずれも文字列リテラル。 'literarl' 'リテラル' u'リテラル' "literal" 文字列は'で囲っても"で囲っても良い。いずれも文字列。'で囲った文字列の中には"を書くことが出来る。"で囲った文字列の中には'を書くことができる。 文字列の頭にuを付けるとunicod…

定数リテラル

以下はすべて定数リテラル。 3 : 整数 3.0 : 実数 -3.0E-4 : 実数 12 : 10進数 0x12 : 16進数 0o12 : 8進数 0b11 : 2進数 1.0j : 虚数単位 数リテラルの後ろにjを付けると虚数になる。1.0jは虚数単位。単に j と書くと変数になるので注意。

Ubuntu 14.04にPythonをインストール

基本インストール sudo apt-get install python3 14.04には最初からpython 3が入っているかもしれない。Python 2.7とPython 3が一緒にインストール済みの場合も、 sudo update-alternatives --config python は使えない。各種ツールの"Python"への依存が強す…