max10

LEDチカチカ

BeMicro Max10用のVHDLコード。 -- LED display demo on BeMicro MAX10 -- Shifting LED pattern every second. library ieee; use ieee.std_logic_1164.all; entity blink_top is port ( reset : in std_logic; clock : in std_logic; -- assign 50Mhz cloc…

BeMicro MAXのハードウェア

BeMicro Max10は、クロックとして50MHzをN14ピンに入力している。サンプルverilogプロジェクトのピンアサイメントは以下の通り LED[7] Output PIN_AA5 LED[6] Output PIN_AB4 LED[5] Output PIN_T6 LED[4] Output PIN_V4 LED[3] Output PIN_T1 LED[2] Output…